Techno Blender
Digitally Yours.

Intel aims to overtake Samsung to become world’s second-biggest chip maker

0 35


TSMC is currently the world’s biggest contract semiconductor chip manufacturer, while Samsung is the distant second. Intel, which recently spun off its chip-making arm as a separate business, has some lofty goals in its mind. The company reportedly wants to overtake Samsung Foundry (Samsung’s chip manufacturing business) to become the world’s second-biggest chip manufacturing firm by 2030.

Historically, Intel manufactured chips just for itself, but last year, the company decided to make chips for others despite struggling for years to make 10nm and 7nm chips. Last year, Intel Foundry Services (IFS) announced that it would invest $20 billion to expand its foundry operations in Arizona, US, and a total of $70 billion globally. Those numbers are nowhere close to Samsung’s and TSMC’s plans to invest hundreds of billions of dollars. Plus, Samsung and TSMC have already started production of 3nm chips, so we are not sure how Intel plans to overtake Samsung with relatively smaller investments.

The current geopolitical situation could help Intel, but it has to prove its fabrication technology first

Randhir Thakur, President of Intel Foundry Services, said in an interview with Nikkei Asia, “Our ambition is to be the No. 2 foundry in the world by the end of the decade, and [we] expect to generate leading foundry margins.” In addition to its own foundry facilities, Intel recently announced that it would acquire Tower Semiconductor, an Israeli foundry firm that has its factory in Japan.

Although manufacturing chips in Europe and North America is costlier than making them in Asia (like Samsung and TSMC do), the one thing in Intel’s favor is the current geopolitical situation. Intel will benefit from having its foundry facilities closer to the major fabless semiconductor firms, including AMD, Apple, Intel, and Qualcomm. Randhir Thakur said, “As we have engaged with foundry customers since launching IFS, it has become abundantly clear that many of these companies see the need for a more resilient and geographically balanced semiconductor supply chain.

Intel plans to make 1.8nm chips by 2025

Intel Foundry Services Factory

Still, it will be extremely tough for Intel to overtake Samsung. According to the latest numbers from market research firm TrendForce, Intel didn’t even make it to the top ten foundry firms in terms of revenue. TSMC has a dominant market share of around 54%, while Samsung (ranked second) has a 16% market share. UMC is ranked third with a market share of 7%, while Global Foundries has a 6% share. Tower Semiconductor, Intel’s recent acquisition, has a small 1.3% market share. Combined, Intel and Tower Semiconductor would make it to the seventh or eighth spot in the foundry segment, which is still far from Samsung Foundry’s second position.

Intel has an aggressive roadmap for its chip manufacturing process nodes. By 2025, Samsung and TSMC plan to start the production of 2nm chips. In comparison, IFS wants to make 18-angstrom (1.8nm) process, but it’s all a pipedream until the company shows off actual chips based on those process nodes. Although it has gained some orders from Amazon’s AWS, MediaTek, and Qualcomm, Intel has a long way to go before it can get big clients like AMD, Apple, and Nvidia for their most advanced chips.


TSMC is currently the world’s biggest contract semiconductor chip manufacturer, while Samsung is the distant second. Intel, which recently spun off its chip-making arm as a separate business, has some lofty goals in its mind. The company reportedly wants to overtake Samsung Foundry (Samsung’s chip manufacturing business) to become the world’s second-biggest chip manufacturing firm by 2030.

Historically, Intel manufactured chips just for itself, but last year, the company decided to make chips for others despite struggling for years to make 10nm and 7nm chips. Last year, Intel Foundry Services (IFS) announced that it would invest $20 billion to expand its foundry operations in Arizona, US, and a total of $70 billion globally. Those numbers are nowhere close to Samsung’s and TSMC’s plans to invest hundreds of billions of dollars. Plus, Samsung and TSMC have already started production of 3nm chips, so we are not sure how Intel plans to overtake Samsung with relatively smaller investments.

The current geopolitical situation could help Intel, but it has to prove its fabrication technology first

Intel Tower Semiconductor Acquisition

Randhir Thakur, President of Intel Foundry Services, said in an interview with Nikkei Asia, “Our ambition is to be the No. 2 foundry in the world by the end of the decade, and [we] expect to generate leading foundry margins.” In addition to its own foundry facilities, Intel recently announced that it would acquire Tower Semiconductor, an Israeli foundry firm that has its factory in Japan.

Although manufacturing chips in Europe and North America is costlier than making them in Asia (like Samsung and TSMC do), the one thing in Intel’s favor is the current geopolitical situation. Intel will benefit from having its foundry facilities closer to the major fabless semiconductor firms, including AMD, Apple, Intel, and Qualcomm. Randhir Thakur said, “As we have engaged with foundry customers since launching IFS, it has become abundantly clear that many of these companies see the need for a more resilient and geographically balanced semiconductor supply chain.

Intel plans to make 1.8nm chips by 2025

Intel Foundry Services Factory

Still, it will be extremely tough for Intel to overtake Samsung. According to the latest numbers from market research firm TrendForce, Intel didn’t even make it to the top ten foundry firms in terms of revenue. TSMC has a dominant market share of around 54%, while Samsung (ranked second) has a 16% market share. UMC is ranked third with a market share of 7%, while Global Foundries has a 6% share. Tower Semiconductor, Intel’s recent acquisition, has a small 1.3% market share. Combined, Intel and Tower Semiconductor would make it to the seventh or eighth spot in the foundry segment, which is still far from Samsung Foundry’s second position.

Intel has an aggressive roadmap for its chip manufacturing process nodes. By 2025, Samsung and TSMC plan to start the production of 2nm chips. In comparison, IFS wants to make 18-angstrom (1.8nm) process, but it’s all a pipedream until the company shows off actual chips based on those process nodes. Although it has gained some orders from Amazon’s AWS, MediaTek, and Qualcomm, Intel has a long way to go before it can get big clients like AMD, Apple, and Nvidia for their most advanced chips.

FOLLOW US ON GOOGLE NEWS

Read original article here

Denial of responsibility! Techno Blender is an automatic aggregator of the all world’s media. In each content, the hyperlink to the primary source is specified. All trademarks belong to their rightful owners, all materials to their authors. If you are the owner of the content and do not want us to publish your materials, please contact us by email – [email protected]. The content will be deleted within 24 hours.

Leave a comment